光刻機真相:差距究竟有多遠

本號由江蘇市區招商團隊運營,主要記載團隊產業研究相關資料,關注產業基礎和發展動態,著力打造招商熱門產業資料庫,建設產業招商交流平臺。相關資料蒐集自各平臺,產權歸原作者所有。文中觀點僅代表個人看法,不具備其他任何意義。

光刻機真相:差距究竟有多遠

總的觀點:前途漫漫,有曙光

光刻機在現在可以說是幾乎無人不知,“卡脖子”的典型代表。對光刻機的認識有著兩個明顯的誤區,一是做光刻機的都是高精尖的,二是誰說做出了國產光刻機都是騙子。

01

基本情況

光刻機是一種刻蝕機,一種高精度的刻蝕機。是以光作為刀在基底上進行反覆的刻蝕最終得到圖案,而日常所說的多少奈米,就代表了能夠刻蝕出的圖案的精細程度。晶片在生產中需要進行 20-30 次的光刻,耗時佔到 IC 生產環節的 50%左右,佔晶片生產成本的 1/3。

光刻機可以分為接近接觸式光刻、直寫式光刻、以及投影式光刻三大類。接近接觸式透過無限靠近來複制掩模板上的圖案;投影式光刻採用投影物鏡,將掩模板上的結構投影到基片表面;而直寫,則將光束聚焦為一點,透過運動工件臺或鏡頭掃描實現任意圖形加工。光學投影式光刻憑藉其高效率、無損傷的優點,一直是積體電路主流光刻技術。從工業生產的角度,光刻的發展趨勢是直接刻蝕的面積越來越大,解析度越來越高,從平面向三維立體。

光刻機也可以分為好多種,被分為前道、後道和麵板等三類。我們常提到的,被國外卡脖子的光刻機屬於前道光刻機,這一類光刻機制造工藝非常複雜,掌握這一領域技術的廠家屈指可數。

光刻機佔晶片製造總裝置成本的30%左右。一二代光刻機g-line和i-line,可以滿足0。8-0。35微米晶片的生產,第三代KrF光刻機在350-180奈米,第四代ArF光刻機是目前使用最廣的光刻機,有乾式和浸沒式兩種技術路線,繼續演進中,溼法可以實現22納米制程,透過技術提升可以實現7奈米。當晶片製程來到7奈米的時候,必須用到第五代極紫外光刻機(EUV),只有ASML能生產。EUV直到2010年才有原型機,2016年才實現供貨。

02

產業鏈

光刻機產業鏈,可拆分為核心元件和配套設施兩大部分,核心元件包括光源、鏡頭、雙工作臺、浸沒系統等。配套設施則有光刻膠、光掩模板、塗膠顯影裝置等。

光刻機是個比較複雜的工程,比如極紫外光刻機集合精密光學,鐳射、流體物理、電磁、精密控制、機械加工、電子資訊、電子電路、化學在內的等幾十個學科的尖端技術於一體,是目前最精密最昂貴的工業裝置。ASML的EUV光刻機,一臺重量接近180噸,零部件超過10萬個,主要零部件來自於歐美幾十個發達國家。荷蘭的光刻機德國的蔡司鏡頭裝置,日本提供特殊複合材料,工業精密機床是瑞典的,控制軟體美國的。比如光源,目前全世界能夠提供準分子鐳射光源的僅有兩三家,美國Cymer公司和日本Gigaphoton公司,還有光學透鏡、反射鏡系統,當初ASML的光刻機落後計劃10多年,就是因為光源和光學系統的問題遲遲沒有解決。

在國內產業鏈上,上海微電子負責光刻機設計與總成,北京科益虹源(世界第三家高能準分子鐳射器)光源系統,國望光學物鏡系統,長春國科精密曝光光學系統,華卓精科雙工作臺,浙江啟爾機電浸沒系統。

03

光刻技術

其實光刻不僅僅是EUV一條路,還有DUV的多重曝光,理論上也可以做到7奈米,只是技術要求高,良率低,目前生產出來的晶片沒有競爭力。另外奈米壓印光刻 (NIL)、定向自組裝 (DSA) 和等離子鐳射(sp)等技術也是潛在的EUV技術的競爭者。

業界看好的是NIL。該項技術是將微電子加工工藝融合於印刷技術中,理論上比光刻的解析度更高,而且具有高效率、低成本(EUV的40%)、能耗小(EUV的10%)適合工業化生產等優勢,被稱為是微納加工領域中第三代最有前景的光刻技術之一。這項技術,目前還不太適應全部的晶片製造領域,主要被應用於光學光子元件、以及儲存晶片製造等。根據報道,日本鎧俠、佳能,以及大日本印刷株式會社(DNP)已經研發了量產技術,並已應用在15奈米的快閃記憶體製造商,相關公司表示在2025年應用的5奈米晶片製造中。

中科院光電所微加光學實驗室的SP光刻機是世界上第一臺單次成像達到22nm的光刻機,可以用來製備10nm以下器件。該技術路線理論上可以不在受到傳統衍射極限的限制。但目前還在實驗室階段,同時曝光效率低,還不適應大規模的晶片生產。

04

主要玩家

荷蘭ASML公司,世界領先的半導體裝置製造商,唯一產品型別就是光刻機,在光刻裝置市場具有不可撼動的霸主地位,在除了i-line光刻機之外領域均具有較強的主導地位,幾乎壟斷了整個光刻機市場,並且持續17年成為全球第一。是世界上唯一一家可以實現7nm高精度的光刻機制造廠商,已經研發出了可實現2nm及以下超高精度晶片的光刻機。ASML的EUV中根據承諾美國零件佔55%以上,前三大股東都來自美國,所以ASML的EUV光刻機的實際控制方是美國而非荷蘭。根據公司財報,現有196億歐元的積壓訂單,包括1160萬歐元的EUV,這涵蓋了2022年全年和2023年初的EUV計劃產量。

佳能,最早實現光刻裝置小型化的公司,主要光刻機銷售集中在i-line光刻機和KrF光刻機,目前主要面向面板光刻機領域。

尼康,半導體和麵板光刻裝置製造商,在光刻機領域除了EUV之外的型別都有涉及,銷售主要是面板光刻。

上海微電子,國內的光刻機的希望,擅長後道封裝光刻機,該類產品佔據全球40%的市場。前道領域90nm光刻機已經研製成功,並供應給了積塔半導體、中芯紹興、長江儲存,同時正已經突破28奈米工藝,官方預計在2022年交付第1臺。最新訊息是沒有透過02專項國家驗收,據分析主要原因是技術可實現,但精密機械和光學晶片基礎工業短板還需要彌補。當然,這裡“28nm光刻機”的說法不夠準確,實際指的應該是可以被用於28nm晶片製造的光刻機,即193nm ArF浸潤式DUV光刻機,使用該光刻機經過多次曝光可以支援7nm製程的晶片製造。它直接對標的是ASML現階段最強DUV光刻機(2018年推出的TWINSCAN NXT:2000i)。

05

幾點說明

國內也可以造出光刻機,上海微電子的後道光刻機佔國內80%,全球40%的市場份額。面板光刻機領域,尼康、佳能處於壟斷地位,國內也在高速發展,不斷滲透。造不出的是可以生產7奈米晶片的光刻機。

如果能上海微電子突破28奈米光刻機是非常大的事情。28奈米被認為是最具價效比的,已經可以完成大部分的晶片加工,如手機主機板上射頻、藍芽、功放、以及各種驅動晶片,經過多重曝光後,可以生產14-7nm晶片。比如在EUV出現之前,臺積電的第一代7nm晶片就是多重曝光製作的。

目前,EUV裝置還在提升之中,主要是提高鏡頭和反射鏡的解析度,簡單說就是把光線變得更清晰。國內目前談突破EUV有些為時過早。

國內在光刻機上也有新的路徑,中科院的SP光刻機就是一種,該技術路線理論上可以不受傳統衍射極限的限制。但目前還在實驗室階段,同時曝光效率低,還不適應大規模的晶片生產。雖然前景還不夠明朗,但不等於沒可能。當年尼康和佳能選擇了幹法路線,ASML走了溼法。結果ASML溼法路走痛了,成功超越成為全球光刻機龍頭。

溼法的核心技術主要來自臺積電,美國在DUV領域不具備統治地位,因此中國還是可以買到DUV的。先進製程向5nm及以下進化,EUV是剛需。不只是中國廠商買不到,剛剛的訊息(11月18日)韓國海力士想採用EUV光刻機提升無錫廠DRAM晶片生產效率,也被美國阻止。

往期文章選摘